Oct, 2020

DiffTune: 用可学习的可微替代模型优化 CPU 模拟器参数

TL;DR该研究介绍了DiffTune,一个用于在渐进端到端测量中从粗粒度调整x86基本块CPU模拟器参数的系统。通过将原始模拟器替换为可微分代理,DiffTune能够应用基于渐变的优化技术,并将学习的参数插入回原始模拟器,从而降低了CPU模拟器的平均误差。