Dec, 2018

E-RNN:FPGAs 中高效循环神经网络的设计优化

TL;DR本文提出了一种基于块循环矩阵框架的高效 RNN(E-RNN)框架,用于 FPGA 实现自动语音识别(ASR),旨在提高性能 / 能量效率,保证精度。采用了交替方向乘法器(ADMM)技术和两个设计探索来提高块循环训练的准确性和引导块大小选择,并将 E-RNN 分解为两个阶段:确定 RNN 模型以降低计算和存储,并进行硬件实现。在实际的 FPGA 部署中,实验结果表明,相对于 ESE 的最大能量效率提高了 37.4 倍,相对于 C-LSTM 提高了 2 倍以上,但保持了相同的准确性水平。